Menu Close
Print Friendly, PDF & Email

Last Updated: 5th March 2021

Quartus II Programmer

Quartus enables analysis and synthesis of HDL designs, enabling the developer to compile their designs, perform timing analysis, examine RTL diagrams, simulate a design’s reaction to different stimuli, and configure the target device with the programmer. Quartus Prime includes an implementation of VHDL and Verilog for hardware description, visual editing of logic circuits, and vector waveform simulation.

Screenshot

Download

Source

Intel